Please enter a valid full or partial manufacturer part number with a minimum of 3 letters or numbers

    ANALOG TO DIGITAL CONVERTER VERILOG CODE Search Results

    ANALOG TO DIGITAL CONVERTER VERILOG CODE Result Highlights (5)

    Part ECAD Model Manufacturer Description Download Buy
    DCL541A01 Toshiba Electronic Devices & Storage Corporation Digital Isolator / VDD=2.25~5.5V / 150Mbps / 4 channel(F:R=3:1) / Default Output Logic: Low / Input disable Visit Toshiba Electronic Devices & Storage Corporation
    DCL542H01 Toshiba Electronic Devices & Storage Corporation Digital Isolator / VDD=2.25~5.5V / 150Mbps / 4 channel(F:R=2:2) / Default Output Logic: High / Output enable Visit Toshiba Electronic Devices & Storage Corporation
    DCL541B01 Toshiba Electronic Devices & Storage Corporation Digital Isolator / VDD=2.25~5.5V / 150Mbps / 4 channel(F:R=3:1) / Default Output Logic: High / Input disable Visit Toshiba Electronic Devices & Storage Corporation
    DCL542L01 Toshiba Electronic Devices & Storage Corporation Digital Isolator / VDD=2.25~5.5V / 150Mbps / 4 channel(F:R=2:2) / Default Output Logic: Low / Output enable Visit Toshiba Electronic Devices & Storage Corporation
    DCL540H01 Toshiba Electronic Devices & Storage Corporation Digital Isolator / VDD=2.25~5.5V / 150Mbps / 4 channel(F:R=4:0) / Default Output Logic: High / Output enable Visit Toshiba Electronic Devices & Storage Corporation

    ANALOG TO DIGITAL CONVERTER VERILOG CODE Datasheets Context Search

    Catalog Datasheet MFG & Type PDF Document Tags

    verilog code for adc

    Abstract: verilog code of 8 bit comparator ADC Verilog Implementation ADC DAC Verilog 2 bit Implementation verilog code of 16 bit comparator adc verilog analog to digital converter verilog verilog code of 4 bit comparator verilog code of 3 bit comparator verilog code for serial multiplier
    Text: APPLICATION NOTE APPLICATION NOTE 5  XAPP155 September 23, 1999 Version 1.1 Virtex Analog to Digital Converter 13* Application Note: John Logue Summary When digital systems are used in real-world applications, it is often necessary to convert an analog voltage level to a binary number. The value of this


    Original
    PDF XAPP155 10-bit CLK90( CLK180( CLK270( verilog code for adc verilog code of 8 bit comparator ADC Verilog Implementation ADC DAC Verilog 2 bit Implementation verilog code of 16 bit comparator adc verilog analog to digital converter verilog verilog code of 4 bit comparator verilog code of 3 bit comparator verilog code for serial multiplier

    U2550

    Abstract: u560100 ZMD U2510 U560244 Bosch Common Rail Sensor U2400 6v to 7.5v dc power supply circuit project U560048 U2100 u5601
    Text: Mixed-signal ASICs - brilliant ideas developed through dialogue with our customers Mixed-signal ICs from ZMD - system solutions that meet exacting requirements, containing a high proportion of analog circuit components. These ICs typically provide cost-effective on-chip calibration,


    Original
    PDF

    verilog code for BPSK

    Abstract: verilog code for 2D linear convolution filtering verilog code for discrete linear convolution ep330 PLMQ7192/256-160NC convolution Filter verilog HDL code AN-084 EPC1PC8 EPM7160 Transition verilog code image processing filtering
    Text: Newsletter for Altera Customers ◆ Second Quarter ◆ May 1997 Altera Announces MAX Roadmap with 3.3-V, ISP-Capable Michelangelo Family Altera recently unveiled plans for the next-generation MAX programmable logic device PLD family, code-named Michelangelo.


    Original
    PDF 35micron, verilog code for BPSK verilog code for 2D linear convolution filtering verilog code for discrete linear convolution ep330 PLMQ7192/256-160NC convolution Filter verilog HDL code AN-084 EPC1PC8 EPM7160 Transition verilog code image processing filtering

    Flash-ADC

    Abstract: verilog code for adc simple ADC Verilog code 4bit CMOS devider 3bit flash adc 4-bit flash adc AL1208
    Text: AL1208H 10BIT 20MSPS ADC 10BIT 20MSPS ADC AL1208H GENERAL DESCRIPTION FEATURES The AL1208H is a CMOS 10-bit A/D converter for video applications. It is a three-step pipelined A/D converter which consists of sample & hold, three multiplying DACs, a 4-bit flash adc and three 3-bit


    Original
    PDF AL1208H 10BIT 20MSPS AL1208H 10-bit 20MSPS Flash-ADC verilog code for adc simple ADC Verilog code 4bit CMOS devider 3bit flash adc 4-bit flash adc AL1208

    adc 12bit 5msps

    Abstract: BL1208H
    Text: BL1208H 10BIT 5MSPS ADC 10BIT 5MSPS ADC BL1208H GENERAL DESCRIPTION FEATURES The BL1208H is a CMOS 10-bit A/D converter for video applications. It is a three-step pipelined A/D converter which consists of sample & hold, three multiplying DACs, a 4-bit flash adc and three 3-bit


    Original
    PDF BL1208H 10BIT BL1208H 10-bit 10Bit 100mW adc 12bit 5msps

    simple ADC Verilog code

    Abstract: 4-bit flash adc verilog code for adc Flash-ADC 10-bit Flash-ADC BW1217X analog to digital converter verilog adc 4bit
    Text: 0.35µ µm 10-BIT 30MSPS ADC BW1217X GENERAL DESCRIPTION The bw1217x is a CMOS 10-bit A/D converter for video applications. It is a three-step pipelined A/D converter which consists of sample & hold, two multiplying DACs, and three 4-bit flash ADCs. The maximum conversion rate of bw1217x is 30MSPS and supply voltage is 3.3V single.


    Original
    PDF 10-BIT 30MSPS BW1217X bw1217x 10Bit simple ADC Verilog code 4-bit flash adc verilog code for adc Flash-ADC 10-bit Flash-ADC analog to digital converter verilog adc 4bit

    verilog code for adc

    Abstract: CL1208H simple ADC Verilog code cl1208 verilog adc pipeline
    Text: CL1208H 10BIT 10MSPS ADC 10BIT 10MSPS ADC CL1208H GENERAL DESCRIPTION FEATURES The CL1208H is a CMOS 10-bit A/D converter for video applications. It is a three-step pipelined A/D converter which consists of sample & hold, three multiplying DACs, a 4-bit flash adc and three 3-bit


    Original
    PDF CL1208H 10BIT 10MSPS CL1208H 10-bit 10MSPS verilog code for adc simple ADC Verilog code cl1208 verilog adc pipeline

    verilog code for UART with BIST capability

    Abstract: VHDL CODE FOR HDLC controller ARM dual port SRAM compiler DesignWare SPI vhdl code for watchdog timer of ATM vhdl coding for analog to digital converter Sun Enterprise 250 static SRAM single-port verilog code for 16 bit risc processor verilog code arm processor
    Text: GS30 0.15-µm CMOS Standard Cell/Gate Array Version 0.2 May 16, 2000 Copyright  Texas Instruments Incorporated, 2000 The information and/or drawings set forth in this document and all rights in and to inventions disclosed herein and patents which might be granted thereon disclosing or employing the


    Original
    PDF

    park and clark transformation

    Abstract: HP35665 verilog for ac servo motor encoder PWM simulation matlab 16 bit Array multiplier code in VERILOG analog servo controller for bldc verilog for park transformation resolver Matlab BLDC 3 phase BLDC motor control MATLAB PWM matlab
    Text: New Digital Hardware Control Method for High Performance AC Servo Motor Drive – AcceleratorTM Servo Drive Development Platform for Military Application Toshio Takahashi, International Rectifier As presented at Military Electronics Conference, Sept 24-25, 2002


    Original
    PDF

    144 QFP body size

    Abstract: 35x35 bga BGA and QFP Package vhdl code for usart DesignWare SPI 0.18-um CMOS technology characteristics ARM7 verilog code NEC-V850 PZT driver design vhdl coding for analog to digital converter
    Text: GS20 0.18-µm CMOS Standard Cell/Gate Array Version 1.0 April 6, 1999 Copyright  Texas Instruments Incorporated, 1999 The information and/or drawings set forth in this document and all rights in and to inventions disclosed herein and patents which might be granted thereon disclosing or employing the


    Original
    PDF

    synopsys Platform Architect

    Abstract: clock tree balancing DesignWare SPI vhdl code for watchdog timer of ATM 0.18-um CMOS technology characteristics vhdl coding for analog to digital converter CML Vterm 27x27
    Text: GS20 0.18-µm CMOS Standard Cell/Gate Array Version 1.1 May 19, 2000 Copyright  Texas Instruments Incorporated, 2000 The information and/or drawings set forth in this document and all rights in and to inventions disclosed herein and patents which might be granted thereon disclosing or employing the


    Original
    PDF

    NEC-V850

    Abstract: DesignWare SPI vhdl code for watchdog timer of ATM ARM dual port SRAM compiler vhdl coding for analog to digital converter LogicVision verilog for SRAM 512k word 16bit uart verilog lvds synopsys on-chip modeling
    Text: GS30 0.15-µm CMOS Standard Cell/Gate Array Version 1.0 February, 2001 Copyright  Texas Instruments Incorporated, 2001 The information and/or drawings set forth in this document and all rights in and to inventions disclosed herein and patents which might be granted thereon disclosing or employing the


    Original
    PDF SRST145 NEC-V850 DesignWare SPI vhdl code for watchdog timer of ATM ARM dual port SRAM compiler vhdl coding for analog to digital converter LogicVision verilog for SRAM 512k word 16bit uart verilog lvds synopsys on-chip modeling

    DAC1243X

    Abstract: verilog code of 2 bit comparator samsung HARD DISK power supply diagram
    Text: 10BIT 30MSPS Single-Channel DAC DAC1243X_SR FEATURES GENERAL DESCRIPTION • • • • • This core is a CMOS single-channel 10bit 30MSPS D/A converter for general & video applications. The dac1243x_sr core is implemented in the Samsung 0.25um 2.5V CMOS process. Digital inputs are coded as


    Original
    PDF 10BIT 30MSPS DAC1243X 10bit 40MSPS 75LSB verilog code of 2 bit comparator samsung HARD DISK power supply diagram

    block diagram of ct scanner

    Abstract: ADAS1126 OR31 verilog code for adc adas sdi verilog code sensor x-ray 4 channel data acquisition system AN15 AN16
    Text: 32-Channel, 24-Bit Current-to-Digital ADC ADAS1126 FEATURES GENERAL DESCRIPTION 32-channel, low level current-to-digital converter Up to 24-bit resolution Up to 19.7 kSPS 50.7 µs integration time Simultaneous sampling Ultralow noise (down to 0.4 fC [2500e−])


    Original
    PDF 32-Channel, 24-Bit ADAS1126 2500e-] ADAS1126 D08786F-0-9/10 block diagram of ct scanner OR31 verilog code for adc adas sdi verilog code sensor x-ray 4 channel data acquisition system AN15 AN16

    ADAS1128

    Abstract: block diagram of ct scanner verilog code for adc adas ct scanner Wire diagram of ct scanner sdi verilog code AN127 AN63 AN64
    Text: 128-Channel, 24-Bit Current-to-Digital ADC ADAS1128 FEATURES GENERAL DESCRIPTION 128-channel, low level current-to-digital converter Up to 24-bit resolution Up to 19.7 kSPS 50.7 s integration time Simultaneous sampling Ultralow noise (down to 0.4 fC [2500e−])


    Original
    PDF 128-Channel, 24-Bit ADAS1128 2500e-] ADAS1128 D08045F-0-5/10 block diagram of ct scanner verilog code for adc adas ct scanner Wire diagram of ct scanner sdi verilog code AN127 AN63 AN64

    block diagram of ct scanner

    Abstract: Wire diagram of ct scanner ct scanner or31 sensor or31 verilog code for adc sdi converter 9106 adc verilog digital to analog converter radiation
    Text: 64-Channel, 24-Bit Current-to-Digital ADC ADAS1127 FEATURES GENERAL DESCRIPTION 64-channel, low level current-to-digital converter Up to 24-bit resolution Up to 19.7 kSPS 50.7 µs integration time Simultaneous sampling Ultralow noise (down to 0.4 fC [2500e−])


    Original
    PDF 64-Channel, 24-Bit ADAS1127 2500e-] ADAS1127 D08785F-0-9/10 block diagram of ct scanner Wire diagram of ct scanner ct scanner or31 sensor or31 verilog code for adc sdi converter 9106 adc verilog digital to analog converter radiation

    EP4CE22f17

    Abstract: EP4CE22F17C6 12-bit ADC interface vhdl complete code for FPGA PWM fpga uart vhdl verilog code for eeprom i2c controller power wizard 1.1 wiring diagram adc verilog ep4ce22 ftdi ep4ce
    Text: 1 CONTENTS CHAPTER 1 INTRODUCTION . 5 1.1 Features .5


    Original
    PDF

    verilog code for adc

    Abstract: block diagram of ct scanner sdi verilog code analog to digital converter verilog 080450 OR127 ADAS1128
    Text: 128-Channel, 24-Bit Current-to-Digital ADC ADAS1128 FEATURES GENERAL DESCRIPTION 128-channel, low level currents-to-digital converter Up to 24-bit resolution Up to 19.7 kSPS 50.76 s integration time Simultaneous sampling Ultralow noise (down to 0.4 fC [2500e])


    Original
    PDF 128-Channel, 24-Bit ADAS1128 2500e] ADAS1128 D08045F-0-6/09 verilog code for adc block diagram of ct scanner sdi verilog code analog to digital converter verilog 080450 OR127

    ahb arbiter in mentor

    Abstract: 16x16x1.4
    Text: GS40 0.11-µm CMOS Standard Cell/Gate Array Version 0.5 May 19, 2000 Copyright  Texas Instruments Incorporated, 2000 The information and/or drawings set forth in this document and all rights in and to inventions disclosed herein and patents which might be granted thereon disclosing or employing the


    Original
    PDF

    verilog code for 32 bit risc processor

    Abstract: vhdl code for usart 35x35 bga Sun Enterprise 250 Sun Ultra 30 DesignWare SPI 0.18 um CMOS free vhdl code download for usart NEC-V850 PZT driver design
    Text: GS30TR 0.15-µm CMOS Standard Cell/Gate Array Version 1.0 September 23, 1999 Copyright  Texas Instruments Incorporated, 1999 The information and/or drawings set forth in this document and all rights in and to inventions disclosed herein and patents which might be granted thereon disclosing or employing the


    Original
    PDF GS30TR verilog code for 32 bit risc processor vhdl code for usart 35x35 bga Sun Enterprise 250 Sun Ultra 30 DesignWare SPI 0.18 um CMOS free vhdl code download for usart NEC-V850 PZT driver design

    verilog code voltage regulator

    Abstract: verilog code for 32 bit risc processor vhdl code for watchdog timer of ATM fastscan verilog code for 16 bit risc processor NET 1672 analog to digital converter verilog Multi-Channel DMA Controller verilog code arm processor Texas Instruments I2C
    Text: GS30TR 0.15-µm CMOS Standard Cell/Gate Array Version 1.2 May 17, 2000 Copyright  Texas Instruments Incorporated, 2000 The information and/or drawings set forth in this document and all rights in and to inventions disclosed herein and patents which might be granted thereon disclosing or employing the


    Original
    PDF GS30TR verilog code voltage regulator verilog code for 32 bit risc processor vhdl code for watchdog timer of ATM fastscan verilog code for 16 bit risc processor NET 1672 analog to digital converter verilog Multi-Channel DMA Controller verilog code arm processor Texas Instruments I2C

    verilog code for adc

    Abstract: block diagram of ct scanner adas sdi verilog code 24 BIT adc spi FPGA adc verilog Wire diagram of ct scanner ADAS1127 AN63 AN31
    Text: 64-Channel, 24-Bit Current-to-Digital ADC ADAS1127 FEATURES GENERAL DESCRIPTION 64-channel, low level current-to-digital converter Up to 24-bit resolution Up to 19.7 kSPS 50.7 s integration time Simultaneous sampling Ultralow noise (down to 0.4 fC [2500e−])


    Original
    PDF 64-Channel, 24-Bit ADAS1127 2500e-] ADAS1127 D08785F-0-4/10 verilog code for adc block diagram of ct scanner adas sdi verilog code 24 BIT adc spi FPGA adc verilog Wire diagram of ct scanner AN63 AN31

    block diagram of ct scanner

    Abstract: ADAS1126
    Text: 32-Channel, 24-Bit Current-to-Digital ADC ADAS1126 FEATURES GENERAL DESCRIPTION 32-channel, low level current-to-digital converter Up to 24-bit resolution Up to 19.7 kSPS 50.7 µs integration time Simultaneous sampling Ultralow noise (down to 0.4 fC [2500e−])


    Original
    PDF 32-Channel, 24-Bit ADAS1126 2500eâ ADAS1126 D08786F-0-3/11 block diagram of ct scanner

    verilog code of 2 bit comparator

    Abstract: No abstract text available
    Text: DAC1243X-SR 0.25µ µm 10-BIT 30MSPS SINGLE CHANNEL DAC GENERAL DESCRIPTION This core is a CMOS single-channel 10bit 30MSPS D/A converter for general & video applications. The dac1243x_sr core is implemented in the Samsung 0.25um 2.5V CMOS process. Digital inputs are coded with


    Original
    PDF DAC1243X-SR 10-BIT 30MSPS 10bit dac1243x 40MSPS 75LSB BW1221L verilog code of 2 bit comparator