Please enter a valid full or partial manufacturer part number with a minimum of 3 letters or numbers

    VHDL CODING FOR ERROR CORRECTION AND DETECTION DOCUMENTATION Search Results

    VHDL CODING FOR ERROR CORRECTION AND DETECTION DOCUMENTATION Result Highlights (5)

    Part ECAD Model Manufacturer Description Download Buy
    TCTH011AE Toshiba Electronic Devices & Storage Corporation Over Temperature Detection IC / VDD=1.7~5.5V / IPTCO=1μA / IDD=1.8μA / Push-pull type Visit Toshiba Electronic Devices & Storage Corporation
    TLP5212 Toshiba Electronic Devices & Storage Corporation Photocoupler (Gate Driver Coupler) DESAT Detection, OCP, AMC, 5000 Vrms, SO16L Visit Toshiba Electronic Devices & Storage Corporation
    TCTH022AE Toshiba Electronic Devices & Storage Corporation Over Temperature Detection IC / VDD=1.7~5.5V / IPTCO=10μA / IDD=11.3μA / Push-pull type / FLAG signal latch function Visit Toshiba Electronic Devices & Storage Corporation
    TLP5214A Toshiba Electronic Devices & Storage Corporation Photocoupler (Gate Driver Coupler) DESAT Detection, OCP, AMC, 5000 Vrms, SO16L Visit Toshiba Electronic Devices & Storage Corporation
    TCTH021AE Toshiba Electronic Devices & Storage Corporation Over Temperature Detection IC / VDD=1.7~5.5V / IPTCO=10μA / IDD=11.3μA / Push-pull type Visit Toshiba Electronic Devices & Storage Corporation

    VHDL CODING FOR ERROR CORRECTION AND DETECTION DOCUMENTATION Datasheets Context Search

    Catalog Datasheet MFG & Type PDF Document Tags

    dvbt transmitter

    Abstract: Xilinx asi vhdl coding for error correction and detection dvb-t transmitter DVB-T modulator vhdl code for dvb-t serial parallel transport stream vhdl code for spi audio file in vhdl code vhdl code for ofdm transmitter
    Text: MW_DVB-T/H_A ASI/SPI Interface Core March 18, 2008 Product Specification AllianceCORE Facts Provided with Core Documentation S.r.l. User Guide Design File Formats VHDL synthesizable source code, NGC implementation file MindWay S.r.l. Constraints Files Centro Direzionale Colleoni


    Original
    PDF

    turbo codes matlab simulation program

    Abstract: umts turbo encoder vhdl code for turbo vhdl coding for error correction and detection algorithms vogt k1 turbo codes matlab code umts turbo encoder circuit vhdl coding for error correction and detection matlab code for turbo product code 3GPP turbo decoder log-map
    Text: AN 526: 3GPP UMTS Turbo Reference Design AN-526-2.0 January 2010 The Altera 3GPP UMTS Turbo Reference Design demonstrates using Turbo codes for encoding with trellis termination support, and forward error correction FEC in a 3GPP universal mobile telecommunications system (UMTS) design suitable for


    Original
    PDF AN-526-2 turbo codes matlab simulation program umts turbo encoder vhdl code for turbo vhdl coding for error correction and detection algorithms vogt k1 turbo codes matlab code umts turbo encoder circuit vhdl coding for error correction and detection matlab code for turbo product code 3GPP turbo decoder log-map

    VOGT K3

    Abstract: vogt k4
    Text: 3GPP LTE Turbo Reference Design 3GPP LTE Turbo Reference Design AN-505-2.1 Application Note The Altera 3GPP LTE Turbo Reference Design demonstrates using Turbo codes for encoding with trellis termination support, and forward error correction FEC decoding with early termination support. The reference design is suitable for 3GPP


    Original
    PDF AN-505-2 VOGT K3 vogt k4

    vhdl code for lte turbo decoder

    Abstract: vhdl code for lte turbo turbo codes matlab code LTE turbo codes matlab simulation program CRC24A CRC matlab vogt x7 lte turbo encoder vhdl code CRC for lte vogt x9
    Text: AN 505: 3GPP LTE Turbo Reference Design AN-505-2.0 January 2010 The Altera 3GPP LTE Turbo Reference Design demonstrates using Turbo codes for encoding with trellis termination support, and forward error correction FEC decoding with early termination support. The reference design is suitable for 3GPP


    Original
    PDF AN-505-2 vhdl code for lte turbo decoder vhdl code for lte turbo turbo codes matlab code LTE turbo codes matlab simulation program CRC24A CRC matlab vogt x7 lte turbo encoder vhdl code CRC for lte vogt x9

    ieee embedded system projects free

    Abstract: vhdl coding for error correction and detection vhdl code for 8-bit parity checker vhdl code for 3 bit parity checker AUTOMATIC TRANSMISSION GEARBOXES scrambler solomon 8237 verilog vhdl code for parity checker ORLI10G embedded system projects free
    Text: I N T E L L E C T U A L P R O P E R T Y C O R E S ispLeverCORE Re-Usable, Fully-Tested IP Modules Lattice’s new ispLeverCORE IP modules are large, modular design blocks that can be reused and easily placed within a programmable logic design. ispLeverCORE modules implement popular industry-standard functions, commonly used in communications, bus interface, memory


    Original
    PDF 1-800-LATTICE I0160 ieee embedded system projects free vhdl coding for error correction and detection vhdl code for 8-bit parity checker vhdl code for 3 bit parity checker AUTOMATIC TRANSMISSION GEARBOXES scrambler solomon 8237 verilog vhdl code for parity checker ORLI10G embedded system projects free

    vhdl coding for error correction and detection

    Abstract: RTAX1000 vhdl pulse interval encoder 5 to 32 decoder using 3 to 8 decoder vhdl code Reed-Solomon Decoder verilog code code of encoder and decoder in rs(255,239) in vhd A3P600 AGL600V5 APA1000 Reed-Solomon Decoder test vector
    Text: CoreRSDEC v2.0 Handbook Actel Corporation, Mountain View, CA 94043 2008 Actel Corporation. All rights reserved. Printed in the United States of America Part Number: 51700103-0 Release: February 2008 No part of this document may be copied or reproduced in any form or by any means without prior written


    Original
    PDF

    turbo codes matlab simulation program

    Abstract: turbo codes using vhdl turbo codes matlab code 5 to 32 decoder using 3 to 8 decoder vhdl code vhdl codes for Return to Zero encoder 3 to 8 line decoder vhdl IEEE format vhdl coding for error correction and detection vhdl coding for turbo code Puncturing vhdl VHDL code for interleaver block in turbo code
    Text: Turbo Encoder/Decoder MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 408 544-7000 http://www.altera.com Core Version: Document Version: Document Date: 1.1.2 1.1.2 rev 1 July 2002 Copyright Turbo Encoder/Decoder MegaCore Function User Guide


    Original
    PDF EP20K400 EP20K200 EP20K300E turbo codes matlab simulation program turbo codes using vhdl turbo codes matlab code 5 to 32 decoder using 3 to 8 decoder vhdl code vhdl codes for Return to Zero encoder 3 to 8 line decoder vhdl IEEE format vhdl coding for error correction and detection vhdl coding for turbo code Puncturing vhdl VHDL code for interleaver block in turbo code

    0041 ENCODER

    Abstract: EP3C10F256 Altera Arria V FPGA
    Text: Reed-Solomon Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: Document Date: 11.0 May 2011 Copyright 2011 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other


    Original
    PDF

    verilog code for digital calculator

    Abstract: code of encoder and decoder in rs(255,239) fpga implementation using rs(255,239) 5 to 32 decoder 5 to 32 decoder circuit code of encoder and decoder in rs(255,239) in vhd vhdl code download REED SOLOMON AN320 EP3C10F256C6 Reed-Solomon encoder algorithm
    Text: Reed-Solomon Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: Document Date: 10.0 July 2010 Copyright 2010 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other


    Original
    PDF

    code of encoder and decoder in rs(255,239) in vhd

    Abstract: AN320 EP3C10F256C6
    Text: Reed-Solomon Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: Document Date: 9.1 November 2009 Copyright 2009 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other


    Original
    PDF

    lms algorithm using verilog code

    Abstract: lms algorithm using vhdl code ATM machine working circuit diagram using vhdl verilog code for lms adaptive equalizer verilog code for lms adaptive equalizer for audio digital IIR Filter VHDL code 8086 microprocessor based project verilog DTMF decoder qpsk demodulation VHDL CODE verilog code for fir filter using DA
    Text: AMPP Catalog June 1998 About this Catalog June 1998 AMPP Catalog Contents This catalog provides information on Altera Megafunction Partners Program AMPPSM partners and provides descriptions of megafunctions from each AMPP partner. The information in this catalog is current as of


    Original
    PDF

    vhdl coding for error correction and detection

    Abstract: vhdl code for 555 EP1S10F780C6 EP2A15F672C7 EP1K100QC208-1 vhdl 4 to 16 decoder 5 to 32 decoder using 3 to 8 decoder vhdl code
    Text: Reed-Solomon Compiler User Guide 101 Innovation Drive San Jose, CA 95134 408 544-7000 http://www.altera.com Core Version: Document Version: Document Date: 3.3.0 3.3.0 March 2002 Reed-Solomon Compiler MegaCore Function User Guide Copyright  2002 Altera Corporation. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all


    Original
    PDF

    booth multiplier code in vhdl

    Abstract: vhdl code for Booth multiplier verilog code pipeline square root 4-bit AHDL adder subtractor 7,4 bit hamming decoder by vhdl 3 bit booth multiplier using verilog code low pass fir Filter VHDL code vhdl code for 4 bit updown counter multiplier accumulator MAC code VHDL algorithm vhdl code for a updown counter
    Text: Integer Arithmetic Megafunctions User Guide July 2010 UG-01063-2.0 The Altera integer arithmetic megafunctions offer you the convenience of performing mathematical operations on FPGAs through parameterizable functions that are optimized for Altera device architectures. These functions offer efficient logic


    Original
    PDF UG-01063-2 booth multiplier code in vhdl vhdl code for Booth multiplier verilog code pipeline square root 4-bit AHDL adder subtractor 7,4 bit hamming decoder by vhdl 3 bit booth multiplier using verilog code low pass fir Filter VHDL code vhdl code for 4 bit updown counter multiplier accumulator MAC code VHDL algorithm vhdl code for a updown counter

    traffic light controller IN JAVA

    Abstract: vhdl code for traffic light control verilog hdl code for parity generator sdc 2025 altera CORDIC ip error correction code in vhdl interlaken Reed-Solomon Decoder verilog code verilog code for fir filter modelsim 6.3g
    Text: MegaCore IP Library Release Notes and Errata 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Library Version: 10.0 Document Version: 10.0.2 Document Date: 15 September 2010 Copyright 2010 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other


    Original
    PDF

    verilog code for 2D linear convolution

    Abstract: verilog code for GPS correlator vhdl code numeric controlled oscillator pipeline rx UART AHDL design verilog code car parking free verilog code of median filter verilog code for 2D linear convolution filtering verilog code for median filter 16 QAM modulation verilog code LED Dot Matrix vhdl code
    Text: AMPP Catalog February 1997 AMPP Catalog February 1997 M-CAT-AMPP-02 Altera, AHDL, AMPP, OpenCore, MAX, MAX+PLUS, MAX+PLUS II, FLEX, FLEX 10K, FLEX 8000, MAX 9000, MAX 7000, EPF10K10, EPF10K20, EPF10K30, EPF10K40, EPF10K50, EPF10K70, EPF10K100, EPF8282, EPF82828A, EPF8452, EPF8452A, EPF8636A, EPF8820, EPF8820A, EPF8118,


    Original
    PDF M-CAT-AMPP-02 EPF10K10, EPF10K20, EPF10K30, EPF10K40, EPF10K50, EPF10K70, EPF10K100, EPF8282, EPF82828A, verilog code for 2D linear convolution verilog code for GPS correlator vhdl code numeric controlled oscillator pipeline rx UART AHDL design verilog code car parking free verilog code of median filter verilog code for 2D linear convolution filtering verilog code for median filter 16 QAM modulation verilog code LED Dot Matrix vhdl code

    10Gbase-kr backplane connector

    Abstract: Virtex-7 serdes virtex-7 Auto-Negotiation 10Gbase kr
    Text: LogiCORE IP 10-Gigabit Ethernet PCS/PMA v2.3 DS739 April 24, 2012 Product Specification Introduction The LogiCORE IP 10-Gigabit Ethernet Physical Coding Sublayer/Physical Medium Attachment PCS/PMA core forms a seamless interface between the Xilinx 10-Gigabit Ethernet Media Access


    Original
    PDF 10-Gigabit DS739 10GBASE-KR 10GBASE-R 10Gbase-kr backplane connector Virtex-7 serdes virtex-7 Auto-Negotiation 10Gbase kr

    ddr ram repair

    Abstract: dc bfm Silicon Image 1364 Altera fft megacore design of dma controller using vhdl doorbell project Ethernet-MAC using vhdl ModelSim 6.5c pcie Gen2 payload verilog code for fir filter
    Text: MegaCore IP Library Release Notes and Errata 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Library Version: 9.1 Document Version: 9.1.4 Document Date: 15 May 2010 Copyright 2010 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other


    Original
    PDF

    CTXIL206

    Abstract: vhdl code for multiplexing MPEG2 sd card interfacing spartan 3E FPGA RX 3E vhdl code for multiplexing table dvb-t XAPP1015 vhdl code for spartan 6 audio vhdl code for multiplexing Tables in dvb-t vhdl code for dvb-t 2 YCbCr output LVDS
    Text: Audio/Video Connectivity Solutions for Spartan-3E FPGAs Reference Designs for the Broadcast the Broadcast Industry: Volume 3 Industry: Volume 3 [optional] XAPP1015 v1.0 September 28, 2009 [optional] Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development


    Original
    PDF XAPP1015 CTXIL206 vhdl code for multiplexing MPEG2 sd card interfacing spartan 3E FPGA RX 3E vhdl code for multiplexing table dvb-t XAPP1015 vhdl code for spartan 6 audio vhdl code for multiplexing Tables in dvb-t vhdl code for dvb-t 2 YCbCr output LVDS

    Silicon Image 1364

    Abstract: osi model in verilog DS696 RapidIO Serial RapidIO
    Text: Serial RapidIO v5.3 DS696 June 24, 2009 Introduction The LogiCORE IP Serial RapidIO Endpoint solution comprises a highly flexible and optimized Serial RapidIO Physical Layer core and a Logical I/O and Transport Layer interface. This IP solution is a netlist


    Original
    PDF DS696 Silicon Image 1364 osi model in verilog RapidIO Serial RapidIO

    6SLX25

    Abstract: 6SLX25T 6VLX75T v8 doorbell ds696 Silicon Image 1364 error correction, verilog source LocalLink
    Text: Serial RapidIO v5.4 DS696 September 16, 2009 Product Specification Introduction • The LogiCORE IP Serial RapidIO Endpoint solution comprises a highly flexible and optimized Serial RapidIO Physical Layer core and a Logical I/O and Transport Layer interface. This IP solution is a netlist


    Original
    PDF DS696 6SLX25 6SLX25T 6VLX75T v8 doorbell Silicon Image 1364 error correction, verilog source LocalLink

    Marvell PHY 88E1111 Datasheet

    Abstract: 88E1111 88E1111 PHY registers map 88E1145 Marvell 88E1111 Transceiver Marvell PHY 88E1111 stratix iii Datasheet vhdl code for ddr2 vhdl median filter programming 88E1111 vhdl code for FFT 32 point
    Text: MegaCore IP Library Release Notes and Errata 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Library Version: Document Version: Document Date: 9.0 9.0.5 1 July 2009 Copyright 2009 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other


    Original
    PDF

    RAMB36E1

    Abstract: FIFO36 asynchronous fifo vhdl UG363 verilog code hamming vhdl code for 8 bit parity generator vhdl code for 9 bit parity generator vhdl code hamming DSP48E1 RAMB36
    Text: Virtex-6 FPGA Memory Resources User [optional] Guide UG363 v1.0 June 24, 2009 [optional] Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with Xilinx hardware devices. You may not reproduce, distribute, republish, download, display, post, or transmit the


    Original
    PDF UG363 64-bit 72-bit RAMB36E1 FIFO36 asynchronous fifo vhdl UG363 verilog code hamming vhdl code for 8 bit parity generator vhdl code for 9 bit parity generator vhdl code hamming DSP48E1 RAMB36

    RAMB18E1

    Abstract: FIFO36E1 FIFO18E1 RAMB36E1 RAMB36SDP FIFO18 RAMB18SDP RAMB36E1 read back Virtex-5 Ethernet development fifo vhdl
    Text: Virtex-6 FPGA Memory Resources User Guide UG363 v1.3.1 January 19, 2010 Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with Xilinx hardware devices. You may not reproduce, distribute, republish, download, display, post, or transmit the


    Original
    PDF UG363 64-bit 72-bit RAMB18E1 FIFO36E1 FIFO18E1 RAMB36E1 RAMB36SDP FIFO18 RAMB18SDP RAMB36E1 read back Virtex-5 Ethernet development fifo vhdl

    EPM9560RC304-15

    Abstract: EPM7064SLC44-10 vhdl code for ARQ EASY 21653 EPC1 price epc1213 EPM5064 EPM7032S through hole chip carriers Lexra PLMQ7192/256-160NC
    Text: Newsletter for Altera Customers ◆ Fourth Quarter ◆ November 1998 Quartus: Altera’s Fourth-Generation Development Tool With Altera’s new QuartusTM software, programmable logic development tools enter the multi-million-gate era. This powerful fourthgeneration software meets


    Original
    PDF