Please enter a valid full or partial manufacturer part number with a minimum of 3 letters or numbers

    VERILOG CODE FIXED POINT Search Results

    VERILOG CODE FIXED POINT Result Highlights (5)

    Part ECAD Model Manufacturer Description Download Buy
    DFE2016CKA-1R0M=P2 Murata Manufacturing Co Ltd Fixed IND 1uH 1800mA NONAUTO Visit Murata Manufacturing Co Ltd
    LQW18CN55NJ0HD Murata Manufacturing Co Ltd Fixed IND 55nH 1500mA POWRTRN Visit Murata Manufacturing Co Ltd
    LQW18CNR56J0HD Murata Manufacturing Co Ltd Fixed IND 560nH 450mA POWRTRN Visit Murata Manufacturing Co Ltd
    DFE322520F-2R2M=P2 Murata Manufacturing Co Ltd Fixed IND 2.2uH 4400mA NONAUTO Visit Murata Manufacturing Co Ltd
    LQW18CN4N9D0HD Murata Manufacturing Co Ltd Fixed IND 4.9nH 2600mA POWRTRN Visit Murata Manufacturing Co Ltd

    VERILOG CODE FIXED POINT Datasheets Context Search

    Catalog Datasheet MFG & Type PDF Document Tags

    verilog code for cordic

    Abstract: verilog code for logarithm intel 80387sx CORDIC divider intel 80c186 FPGA sinus math coprocessor verilog code for implementation of rom 80387 CORDIC in xilinx
    Text:  Implements ANSI/IEEE Stan- dard 754-1985 for binary floating point arithmetic C80187 Math Coprocessor Core  High-performance, 80-bit internal architecture provides faster processing  Fully compatible with instruc- tion set of 80387DX and 80387SX math coprocessors


    Original
    PDF C80187 80-bit 80387DX 80387SX C80187 80C187. C80186XL 80C186 verilog code for cordic verilog code for logarithm intel 80387sx CORDIC divider intel 80c186 FPGA sinus math coprocessor verilog code for implementation of rom 80387 CORDIC in xilinx

    on line ups circuit schematic diagram

    Abstract: vhdl code for 8 bit common bus ups schematic diagram verilog code verilog code for vector vhdl code download verilog disadvantages Behavioral verilog model full vhdl code for input output port schematic diagram for Automatic reset
    Text: Chapter 7 - Design Flows and Reference Chapter 7: Design Flows and Reference This chapter will illustrate the general design flows you may utilize as a designer schematic-based with or without Verilog, VHDL, and QuickBoolean blocks or VHDL/Verilog-only. In addition, it will provide a general reference for the various tools


    Original
    PDF

    on line ups circuit schematic diagram

    Abstract: verilog code vhdl code download pASIC 1 Family schematic set top box vhdl coding for turbo code vhdl coding ups circuit schematic diagram datasheet ups schematic diagram 1 wire verilog code
    Text: Chapter 7 - Design Flows and Reference Chapter 7: Design Flows and Reference This chapter will illustrate the general design flows you may utilize as a designer schematic-based with or without Verilog, VHDL, and QuickBoolean blocks or VHDL/Verilog-only. In addition, it will provide a general reference for the various tools


    Original
    PDF

    verilog code for cordic algorithm

    Abstract: verilog code for cordic cordic algorithm code in verilog cordic cordic algorithm in matlab code for cordic cordic design for fixed angle rotation AN 263 CORDIC Reference Design altera CORDIC ip cordic design for fixed angle of rotation
    Text: CORDIC Reference Design June 2005, ver. 1.4 Introduction Application Note 263 The co-ordinate rotation digital computer CORDIC reference design implements the CORDIC algorithm, which converts cartesian to polar coordinates and vice versa and also allows vectors to be rotated through


    Original
    PDF

    verilog code fixed point

    Abstract: No abstract text available
    Text: ASI MegaCore Function Errata Sheet October 2006, MegaCore Function Version 1.0.0 This document addresses known errata and documentation issues for the ASI MegaCore function version 1.0.0. Errata are functional defects or errors, which may cause the ASI MegaCore function to deviate from


    Original
    PDF

    MACHXL

    Abstract: AMD CPLD Mach 1 to 5 M4-256/128 mach 1 to 5 from amd M5128-20
    Text: Targeting Mach Devices Using Synplicity’s Synplify Application Brief Targeting MACH Devices Using Synplicity's Synplify INTRODUCTION This application brief will explain the process of fitting Verilog and VHDL designs made with the Synplify software into Vantis MACH“ devices. The design flow will start at the point in which


    Original
    PDF

    vhdl code 16 bit LFSR

    Abstract: verilog code 16 bit LFSR vhdl code for 32 bit pn sequence generator VHDL 32-bit pn sequence generator vhdl code for shift register using d flipflop vhdl code for pn sequence generator SRL16 fpga cdma by vhdl examples vhdl code for rs232 receiver using fpga vhdl code 16 bit LFSR with VHDL simulation output
    Text: Application Note: Spartan-3 FPGA Series R Using Look-Up Tables as Shift Registers SRL16 in Spartan-3 Generation FPGAs XAPP465 (v1.1) May 20, 2005 Summary The SRL16 is an alternative mode for the look-up tables where they are used as 16-bit shift registers. Using this Shift Register LUT (SRL) mode can improve performance and rapidly lead


    Original
    PDF SRL16) XAPP465 SRL16 16-bit vhdl code 16 bit LFSR verilog code 16 bit LFSR vhdl code for 32 bit pn sequence generator VHDL 32-bit pn sequence generator vhdl code for shift register using d flipflop vhdl code for pn sequence generator fpga cdma by vhdl examples vhdl code for rs232 receiver using fpga vhdl code 16 bit LFSR with VHDL simulation output

    fixed point matlab

    Abstract: matlab video image processing DSP Builder tcl script ModelSim
    Text: DSP Builder Errata Sheet June 2006, Version 6.0 SP1 This document addresses known errata and documentation changes for DSP Builder version 6.0 SP1. Errata are functional defects or errors which may cause DSP Builder to deviate from published specifications.


    Original
    PDF

    vhdl code for 16 BIT BINARY DIVIDER

    Abstract: UNSIGNED SERIAL DIVIDER using verilog vhdl code for simple radix-2 UNSIGNED SERIAL DIVIDER using vhdl vhdl code for N fraction Divider verilog code for floating point division verilog code for simple radix-2 verilog code for four bit binary divider DS530 IEEE754
    Text: v as in Divider v1.0 DS530 January 18, 2006 Product Specification Introduction LogiCORE Facts The LogiCORE™ Divider core creates a circuit for fixed-point or floating-point division based on radix-2 non-restoring division, or division by repeated multiplications, respectively. The Divider core supersedes


    Original
    PDF DS530 vhdl code for 16 BIT BINARY DIVIDER UNSIGNED SERIAL DIVIDER using verilog vhdl code for simple radix-2 UNSIGNED SERIAL DIVIDER using vhdl vhdl code for N fraction Divider verilog code for floating point division verilog code for simple radix-2 verilog code for four bit binary divider IEEE754

    verilog code for 64 32 bit register

    Abstract: verilog code for 8 bit shift register verilog code for 8 bit fifo register vhdl code for 8 bit shift register vhdl code for 8 bit register vhdl code for shift register using d flipflop vhdl code for 4 bit shift register SRLC64E SRLC32E VHDL of 4-BIT LEFT SHIFT REGISTER
    Text: R Look-Up Tables as Shift Registers SRLUTs Verilog Template // // Module: SelectRAM_16S // // Description: Verilog instantiation template // Distributed SelectRAM // Single Port 16 x 1 // can be used also for RAM16X1S_1 // // Device: Virtex-II Pro Family


    Original
    PDF RAM16X1S h0000; RAM16X1S SRLC16E SRLC16E UG012 verilog code for 64 32 bit register verilog code for 8 bit shift register verilog code for 8 bit fifo register vhdl code for 8 bit shift register vhdl code for 8 bit register vhdl code for shift register using d flipflop vhdl code for 4 bit shift register SRLC64E SRLC32E VHDL of 4-BIT LEFT SHIFT REGISTER

    vhdl code for shift register using d flipflop

    Abstract: verilog code for 8 bit shift register verilog code for 64 32 bit register verilog code for shift register vhdl code for 8 bit shift register VHDL of 4-BIT LEFT SHIFT REGISTER SRL16 verilog code for 4 bit shift register 8 bit register in verilog verilog code for 8 bit register
    Text: R Using Look-Up Tables as Shift Registers SRLUTs Introduction Virtex-II can configure any look-up table (LUT) as a 16-bit shift register without using the flip-flops available in each slice. Shift-in operations are synchronous with the clock, and output length is dynamically selectable. A separate dedicated output allows the cascading


    Original
    PDF 16-bit 128-bit SRLC16E) SRLC16E h0000; vhdl code for shift register using d flipflop verilog code for 8 bit shift register verilog code for 64 32 bit register verilog code for shift register vhdl code for 8 bit shift register VHDL of 4-BIT LEFT SHIFT REGISTER SRL16 verilog code for 4 bit shift register 8 bit register in verilog verilog code for 8 bit register

    experiment project ips

    Abstract: Future scope of UART using Verilog LatticeMico32 vhdl spi interface wishbone LFECP33E-4F484C LM32 lattice wrapper verilog with vhdl wishbone rev. b EDN handbook
    Text: LatticeMico32 Hardware Developer User Guide Lattice Semiconductor Corporation 5555 NE Moore Court Hillsboro, OR 97124 503 268-8000 September 2009 Copyright Copyright 2008 Lattice Semiconductor Corporation. This document may not, in whole or part, be copied, photocopied,


    Original
    PDF LatticeMico32 experiment project ips Future scope of UART using Verilog vhdl spi interface wishbone LFECP33E-4F484C LM32 lattice wrapper verilog with vhdl wishbone rev. b EDN handbook

    verilog code of 16 bit comparator

    Abstract: SICAN 82c250 D-72703 crc verilog code 16 bit verilog code of 8 bit comparator bosch cf150 engine control module bosch crc 16 verilog 82C250 CAN
    Text: CAN Bus Interface R3.0 March 23, 1998 Product Specification AllianceCORE Facts Core Specifics1 SICAN Microelectronics Corp. 400 Oyster Point Blvd., Suite 512 South San Francisco, CA 94080 USA Phone: +1 650-871-1494 Fax: +1 650-871-1504 E-mail: info@sican-micro.com


    Original
    PDF D-30419, D-72703 verilog code of 16 bit comparator SICAN 82c250 crc verilog code 16 bit verilog code of 8 bit comparator bosch cf150 engine control module bosch crc 16 verilog 82C250 CAN

    mod 8 ring counter using JK flip flop

    Abstract: memory card reader ckt diagram vhdl code for 8-bit BCD adder verilog code pipeline ripple carry adder 3-8 decoder 74138 pin diagram vhdl code for 8-bit parity checker Verilog code subtractor mod 4 ring counter using JK flip flop pin diagram priority decoder 74138 sentinel s21
    Text: QuickWorks User’sGuide with SpDE Reference COPYRIGHT INFOR MATION Copyright 1991-1998 QuickLogic Corporation. All rights reserved. The information contained in this manual and the accompanying software program are protected by copyright; all rights are reserved by QuickLogic Corporation. QuickLogic Corporation reserves the right to make periodic modifications


    Original
    PDF

    74373 latch pin config

    Abstract: 3-8 decoder 74138 pin diagram ci cd 4058 vhdl code for 74194 QL5064 pin diagram of 74109 7400 TTL QL8x12B-0PL68C 74194 shift register waveform Datasheet ci cd 4058
    Text: QuickWorks User’s Guide with SpDE Reference COPYRIGHT INFORMATION Copyright 1991–1999 QuickLogic Corporation. All rights reserved. The information contained in this manual and the accompanying software program are protected by copyright; all rights are reserved by QuickLogic Corporation. QuickLogic


    Original
    PDF

    verilog code for cordic algorithm

    Abstract: cordic algorithm code in verilog FIR filter design using cordic algorithm CORDIC adaptive algorithm dpd verilog code for dpd verilog code for cordic altera CORDIC ip verilog code for half subtractor verilog code for cordic algorithm for wireless
    Text: Digital Predistortion Reference Design Application Note AN-314-1.0 Introduction Power amplifiers PAs for for third-generation (3G) wireless communication systems need high linearity at the PA output, to achieve high adjacent channel leakage ratio (ACLR) and low error vector


    Original
    PDF AN-314-1 verilog code for cordic algorithm cordic algorithm code in verilog FIR filter design using cordic algorithm CORDIC adaptive algorithm dpd verilog code for dpd verilog code for cordic altera CORDIC ip verilog code for half subtractor verilog code for cordic algorithm for wireless

    verilog code for 16 bit ram

    Abstract: ISP1501 communication control verilog code CUSB2 verilog hdl code for programmable peripheral interface Evatronix
    Text:  Full compliance with the USB 2.0 specification  Control endpoint 0 — fixed 64 CUSB2 High Speed USB Device Controller Core The CUSB2 core implements a complete high/full-speed 480/12 Mbps peripheral controller that interfaces to a UTMI USB port transceiver on one side and to a system’s


    Original
    PDF ISP1501 verilog code for 16 bit ram communication control verilog code CUSB2 verilog hdl code for programmable peripheral interface Evatronix

    verilog code for four bit binary divider

    Abstract: ROM32X1
    Text: Last Link Previous Next ORCA Verilog® Simulation Manual For Use With Verilog® Software XL-Version 2.6.36 or higher and ORCA 4.1, and ispLEVER 2.0 and higher Technical Support Line: 1-800-LATTICE or 408-826-6002 international Version 4.1 1 Last Link Previous


    Original
    PDF 1-800-LATTICE verilog code for four bit binary divider ROM32X1

    Pulse Transformer AES3

    Abstract: Biphase mark code AES3 AN-369 verilog hdl code for parity generator cyclic redundancy check verilog source verilog code for digital modulation cyclone iii AES3 USB circuit diagram video transmitter and receiver AN-369-1
    Text: AES3/EBU Reference Design Version 1.1, February 2005 Introduction Application Note The Audio Engineering Society and the European Broadcasting Union developed the AES3/EBU digital audio transmission standard. AES3/EBU is a serial point-to-point interface that carries digital audio


    Original
    PDF

    vhdl code for cordic algorithm

    Abstract: verilog code for cordic verilog code for logarithm verilog code for cordic algorithm cordic algorithm code in verilog vhdl code for cordic verilog code for cordic algorithm sine cosine vhdl code for cordic cosine and sine vhdl cordic code verilog code of sine rom
    Text: DCORDIC CORDIC processor ver 1.16 OVERVIEW The DCORDIC uses the CORDIC algorithm to compute trigonometric, reverse trigonometric, hyperbolic and reverse hyperbolic functions. It supports sine, cosine, arcus tangent functions for hyperbolic and trigonometric systems. Logarithm, square root and exponent


    Original
    PDF 24-bit IEEE-754 vhdl code for cordic algorithm verilog code for cordic verilog code for logarithm verilog code for cordic algorithm cordic algorithm code in verilog vhdl code for cordic verilog code for cordic algorithm sine cosine vhdl code for cordic cosine and sine vhdl cordic code verilog code of sine rom

    4x4 unsigned multiplier VERILOG coding

    Abstract: vhdl code for lvds driver 80C31 instruction set 4x4 signed multiplier VERILOG coding image enhancement verilog code verilog code of 4 bit magnitude comparator XC2V1000 Pin-out vhdl code of 32bit floating point adder verilog code for stop watch VHDL CODE FOR HDLC controller
    Text: R Chapter 2 Design Considerations 1 Summary This chapter covers the following topics: • Using Global Clock Networks • Using Digital Clock Managers DCMs • Using Block SelectRAM Memory • Using Distributed SelectRAM Memory • Using Look-Up Tables as Shift Registers (SRLUTs)


    Original
    PDF XC2V1000-4 UG002 4x4 unsigned multiplier VERILOG coding vhdl code for lvds driver 80C31 instruction set 4x4 signed multiplier VERILOG coding image enhancement verilog code verilog code of 4 bit magnitude comparator XC2V1000 Pin-out vhdl code of 32bit floating point adder verilog code for stop watch VHDL CODE FOR HDLC controller

    vhdl code for traffic light control

    Abstract: UG070 byb 504 sso-12 RAMB16 MAX6627 digital clock vhdl code FPGA Virtex 6 OSERDES verilog code voltage regulator
    Text: Virtex-4 FPGA User Guide UG070 v2.6 December 1, 2008 R R Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with Xilinx hardware devices. You may not reproduce, distribute, republish, download, display, post, or transmit the


    Original
    PDF UG070 SSTL18 vhdl code for traffic light control UG070 byb 504 sso-12 RAMB16 MAX6627 digital clock vhdl code FPGA Virtex 6 OSERDES verilog code voltage regulator

    PLSI MEANS

    Abstract: ABEL-HDL Reference Manual ispLSI1016 lattice 1996
    Text: pLSI Device Kit Manual ABEL-HDL and Schematic Design Entry and Development Tool pLSI Device Kit Manual 981-0336-003A June 1996 090-0589-003A Synario Design Automation, a division of Data I/O, has made every attempt to ensure that the information in this document is accurate and complete. Synario


    Original
    PDF 81-0336-003A 90-0589-003A PLSI MEANS ABEL-HDL Reference Manual ispLSI1016 lattice 1996

    verilog code for 10 gb ethernet

    Abstract: testbench verilog ram 16 x 4 66-BIT testbench of an ethernet transmitter in verilog free vhdl code for pll testbench verilog ram 16 x 8 verilog code for 16 bit common bus vhdl code for ethernet csma cd vhdl code for clock and data recovery vhdl code for clock phase shift
    Text: Application Note: Virtex-II/Virtex-II Pro 10 Gigabit Ethernet/FibreChannel PCS Reference Design R XAPP775 v1.0 August 25, 2004 Author: Justin Gaither and Marc Cimadevilla Summary This application note describes the 10 Gigabit Ethernet Physical Coding Sublayer (PCS)


    Original
    PDF XAPP775 XAPP606) XAPP268: XAPP622: 644-MHz XAPP661: XAPP265: XAPP677: 300-Pin ML10G verilog code for 10 gb ethernet testbench verilog ram 16 x 4 66-BIT testbench of an ethernet transmitter in verilog free vhdl code for pll testbench verilog ram 16 x 8 verilog code for 16 bit common bus vhdl code for ethernet csma cd vhdl code for clock and data recovery vhdl code for clock phase shift